Using Vivado on the ZedBoard and the ZYBO Board

YouTube user João Henrique Albuquerque has created a video series centered around ZYBO and ZedBoard. It explores getting started with Vivado on those FPGAs and some applications. This series has fourteen videos ranging in length from less than a minute long to ten minute ones that are more explanatory.

ZYBO_revB-box-600

From the first video, which covers getting started with Vivado on ZYBO by looking at the switches and LEDs to the last one in the series, dealing with fixing IP blocks and programming the board, this YouTube playlist is helpful for learning some basics about these FPGAs.

It doesn’t matter whether you use Verilog or VHDL. Either way, this is a neat set of projects that guides you through introductory concepts and applications. Check it out, comment, and let us know what you think.

Go forth and binge on YouTube! (Specifically this playlist.)

Author

  • Amber Mear

    I was the Digilent blog editor, and now I'm a contributor. I love learning about wearables and writing about social issues in STEM. Outside of work, I can be found watching Netflix with my cat, working on an art project, or trying to find new, delicious local foods.

Be the 1st to vote.

About Amber Mear

I was the Digilent blog editor, and now I'm a contributor. I love learning about wearables and writing about social issues in STEM. Outside of work, I can be found watching Netflix with my cat, working on an art project, or trying to find new, delicious local foods.

View all posts by Amber Mear →

One Comment on “Using Vivado on the ZedBoard and the ZYBO Board”

Leave a Reply

Your email address will not be published. Required fields are marked *